📜 ⬆️ ⬇️

Mexico and Russia: similar problems in learning to develop electronics

I talked with Mexican students who came to the MIPSfpga seminar in Los Angeles . In general, students study at the University of California , Irvine , also near Los Angeles, but they are also members of a distributed research group, some of which work at the university in Mexico City, Mexico. Students say that the main problem in Mexico is that it does not occur to anyone that you can design electronics in Mexico - everyone buys finished products like iPhones from Americans. The mission of the group in CG in Irvine and in Mexico is to change this view.



The Mexican group is developing its own superscalar microprocessor with the MIPS64 Release 6 architecture, the same architecture that is used in the new microprocessor from Imagination Technologies - MIPS I6400 . At the same time, the microarchitecture (the device of the pipeline and computing blocks) of the Mexican group has its own.

Developers from UC Irvine and Mexico use a standard over the past 25 years methodology of electronic design called Register Transfer Level (RTL, register transfer level). According to this methodology, a design is written in Verilog, after which a special program (logic synthesis) turns the design into a graph of wires and logic elements, another program (static timing analysis) informs the developer whether it fits into the speed budget, and the third program (place -and-route) lays out this design on the chip site.
')
When the design goes through all the stages: coding on the chain, debugging, verification, synthesis, static timing analysis, floorplanning, place-n-route, parasitics extraction, etc. - it turns out a file called GDSII, which is sent to the factory, where the chips are made. The most famous factories of this type are owned by Taiwan Semiconductor Manufacturing Company or TSMC.

It is clear that the usual embodiment of a microprocessor in silicon in a factory is expensive, with initial expenditures on the production of about a million dollars and more. Such expenses are justified only when it comes to a debugged commercial product. Therefore, students are still using Verilog's software simulator and estimating delays using a logic synthesis program, then going to make a prototype on FPGA / FPGA, after which they think of using the MOSIS service for academic developers that allows several developers to cooperate for small-scale production of chips.

I told the students that the problems of Russia are similar to the problems of Mexico, that most Russians do not even think that modern electronics can be designed in Russia. Although Russia has a strong advantage - even in the years of the decline of the 1990s, the Russian government fed government orders for space and other applications to several development teams in Zelenograd. Therefore, there are bridgeheads in Russia from which it is possible to develop the industry so that the share of Russian microelectronics in the world will rise from the current ~ 0.5% to at least ~ 5%.

Source: https://habr.com/ru/post/258109/


All Articles